From 6f5989614e817ef71d6a359c3e7f676724e1a3b5 Mon Sep 17 00:00:00 2001 From: Jonas 'Sortie' Termansen Date: Thu, 19 Sep 2013 20:14:39 +0200 Subject: [PATCH] Add SIGPROF. --- sortix/include/sortix/signal.h | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/sortix/include/sortix/signal.h b/sortix/include/sortix/signal.h index d81b16f6..0320fdba 100644 --- a/sortix/include/sortix/signal.h +++ b/sortix/include/sortix/signal.h @@ -63,7 +63,8 @@ __BEGIN_DECLS #define SIGWAITING 32 /* All LWPs blocked */ #define SIGLWP 33 /* Virtual Interprocessor Interrupt for Threads Library */ #define SIGAIO 34 /* Asynchronous I/O */ -#define SIG__NUM_DECLARED 35 +#define SIGPROF 35 +#define SIG__NUM_DECLARED 36 #define SIG_MAX_NUM 128 #define NSIG SIG_MAX_NUM