From 65a8c4cc1a137a8eff61aba410db5351c0f56a8a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Juhani=20Krekel=C3=A4?= Date: Sat, 14 Jan 2023 22:43:25 +0200 Subject: [PATCH] Run sipsi-8 at 900Hz for full-speed experience --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 3cce09b..1959c00 100644 --- a/Makefile +++ b/Makefile @@ -17,5 +17,5 @@ clean: distclean: clean test: $(BIN) - sipsi-8.py $< + sipsi-8.py $< 900 chip8 $<